Twitter

Link your Twitter Account to Market Wire News


When you linking your Twitter Account Market Wire News Trending Stocks news and your Portfolio Stocks News will automatically tweet from your Twitter account.


Be alerted of any news about your stocks and see what other stocks are trending.



home / news releases / ASMXF - ASM International: Resilient Performance Amid Market Slowdown


ASMXF - ASM International: Resilient Performance Amid Market Slowdown

2023-11-30 10:47:32 ET

Summary

  • ASMI is a Dutch multinational corporation selling Wafer Fabrication Equipment used to manufacture microchips.
  • The company has a strong market position and collaborates closely with chip manufacturers, reducing the risk of strategic errors.
  • ASMI's recent acquisition and its involvement in cutting-edge technology position it for future growth in the semiconductor industry.

Investment Thesis

ASM International NV ( ASMIY ) ( ASMXF ), or ASMI thereafter, is a Dutch multinational corporation selling Wafer Fabrication Equipment 'WFE' used to manufacture microchips. With a fast-growing semiconductor market, ASMI's position resembles selling shovels in a gold rush.

Global Data Generated, Copied, and Processed (Statista)

The company offers an opportunity to gain exposure to long-term secular growth tailwinds of the semiconductor market while shielding investors from the intense competition among chip designers. ASMI belongs to a small group of international WFE companies serving a small set of multinational wafer manufacturers. Each of ASMI and its peers has also found its edge, where they work in close collaboration with chip manufacturers to enhance the fabrication process of the future in their respective niches of the semiconductor fabrication process. For example, ASML Holding N.V. ( ASML ) holds an 80% market share in lithography. Lam Research Corporation ( LRCX ) has a 100% market share in the NAND etching market. Tokyo Electron Limited ( TOELF ) holds an 80% market share in the coater/developer market. ASMI has a 55% market share in the Atomic Layer Deposition 'ALD' market.

ASMI's close collaboration with its clients also reduces the risk of strategic errors, like the ones Intel Corporation ( INTC ) made that led to market share loss. This unique market position, combined with its rapid growth despite market challenges, increases the attractiveness of ASMI as an investment prospect for investors seeking international diversification in their portfolios.

Beyond WFE Market Slowdown

ASMI reported Q3 revenue of €622 million, marking a 9% year-over-year increase at constant currencies, a remarkable performance given the current market slowdown. The WFE market is experiencing a temporary pause after rapid growth in the past two years. Semiconductor manufacturers are halting their capacity expansion projects until demand catches up. Demand for memory chips declined sharply as the high cost of living decreased demand for consumer electronics, a primary driver for DRAM chips. However, industry pundits expect a rebound as early as 2024. Semiconductor companies across the supply chain are ramping up R&D and drawing capex plans in preparation for what seems to be a wave of renewed demand. ASMI increased its R&D spending by 70% in Q3 2023. Tokyo Electron completed the construction of a magnificent R&D and production facility in Nirasaki and is building another production facility in Iwate, while Applied Materials is undertaking a monumental multi-billion dollar project in California, the EPIC center, which, after completion in 2026, is expected to be the largest R&D facility in the WFE sector.

Q3 Sales
YoY % Change 'CC'
ASMIY
9%
Lam Research Corporation ( LRCX )
-31%
Tokyo Electron Limited ( TOELF )
-39%
KLA Corporation ( KLAC )
-12%
Applied Materials, Inc. ( AMAT )
-0.4%

ASMI's exemplary performance is partly attributed to its recent acquisition of LPE, which enhanced its market position in the fast-growing silicon carbide epitaxy market for power/analog applications. Silicon Carbide is gaining popularity in the auto industry for Electric vehicle applications due to its unique physical properties that allow fast charging and longer battery ranges.

Helping offset a sluggish performance of Leading logic chips was a rapid rise in demand from China for Mature logic chips not sanctioned under recent laws in the Netherlands, USA, and Japan.

ASMI and its peers suggested that this demand from China is sustainable. Still, there have been reports that this surge is due to a rush to secure critical tech for fears that interpretations of sanctions laws could expand the scope of prohibited equipment exported to China. Moreover, the surprising victory of Geert Wilders, often dubbed Europe's Donald Trump, was a dramatic departure from mainstream politics in the Netherlands, which could potentially impact the country's stance on various international issues, including the sanctions on China. The US has been putting pressure on the Netherlands to restrict exports of advanced technology to China, which has recently culminated in an export controls agreement that went into effect in September 2023. Running on a nationalist platform, the Netherlands' right-wing parties could prove more resistant to the US-led sanctions, favoring more accommodative policies for their domestic WFE companies, namely ASML and ASMI.

Beyond geopolitical risks, we see a significant catalyst in the advent of Gate All Around 'GAA' technology. This next-generation design allows for the creation of faster and more power-efficient semiconductors, which are crucial to next-generation applications like high-performance computing. ASMI, with its expertise in ALD, is well positioned to benefit from this shift, as ALD technology, where ASMI has a 55% market share, will be increasingly required for deposition steps in GAA technology.

Valuation Risk

ASMI isn't particularly cheap, trading at a Forward EV/EBITDA ratio of 26x, at the upper range of peers' average. This is also above what ASMI has traded at in the past. For example, the company's 5-year average EV/EBITDA stands at 18x, 44% lower than the current ratio.

FWD EV/EBITDA
Sales Growth
ASMI
26
30%
Applied Materials
16
11%
Tokyo Electron
23
16%
KLA Corp
19
22%
Lam Research
21
13%
ASML
26
21%

Still, when factoring in growth, ASMI's valuation becomes more acceptable. The company's 5-year average sales growth is the highest among WFE leaders, at 30%. The company's position in silicon carbide epitaxy and the GAA transition lends us confidence in continued medium and long-term growth.

Share Buybacks and Dividends

Beyond ASMI's exemplary performance this year, one can't ignore the cyclical nature of the WFE industry. Periods of high demand and growth are often followed by slowdowns. In this cyclical environment, ASMI's share repurchase program is a valuable tool to return capital to shareholders.

In the past ten years, ASMI's share outstanding declined by 22%, the second highest among the leading WFE companies.

Outstanding Shares % Decline
2013 - 2023
AMAT
31%
ASM
22%
LAM
19%
KLA
18%
TEL
13%
ASML
10%

An equally important avenue for shareholder returns is ASMI's dividend program. In recent years, management changed dividend distribution frequency from annual to semi-annual and, most recently, to a quarterly distribution. Although ASMI pays dividends each year, the amount paid has historically varied with the economic cycle. This strategy contrasts with AMAT's focus on stable dividends and KLA and Lam's dividend growth strategy and is more aligned with TOELF's policy to distribute 50% of net income as a dividend, which naturally translates to a variable dividend yield.

Summary

ASMI's leading position in the semiconductor industry underscores its robust growth prospects in the wafer fabrication equipment market. The company's involvement in cutting-edge ALD technology, its strategic expansion into the silicon carbide epitaxy market, and its pivotal role in the transition to GAA technology offer accommodative tailwinds for future growth.

The company's adept handling of the WFE sector's cyclical nature, demonstrated by its share repurchase program and adaptive dividend policy, highlights its commitment to shareholder returns. This shareholder-friendly capital allocation policy and its leading market position render ASMI a compelling opportunity in the semiconductor market.

For further details see:

ASM International: Resilient Performance Amid Market Slowdown
Stock Information

Company Name: ASM International NV
Stock Symbol: ASMXF
Market: OTC
Website: asm.com

Menu

ASMXF ASMXF Quote ASMXF Short ASMXF News ASMXF Articles ASMXF Message Board
Get ASMXF Alerts

News, Short Squeeze, Breakout and More Instantly...