Twitter

Link your Twitter Account to Market Wire News


When you linking your Twitter Account Market Wire News Trending Stocks news and your Portfolio Stocks News will automatically tweet from your Twitter account.


Be alerted of any news about your stocks and see what other stocks are trending.



home / news releases / ASML - ASML: Don't Be Spooked By The Recent Fears Hurting Its Market Dominance


ASML - ASML: Don't Be Spooked By The Recent Fears Hurting Its Market Dominance

2023-10-14 08:30:00 ET

Summary

  • Canon is selling advanced machines for creating semiconductors, challenging ASML's leadership in advanced semiconductor equipment.
  • ASML's near-term revenue growth is driven by an upgraded outlook for its less advanced DUV systems, while EUV systems face delays.
  • ASML's hardware leadership, proprietary software, and customer relationships provide a substantial competitive edge against rivals like Canon.
  • I make the case for why investors shouldn't be unduly worried about selling their ASML shares because rivals think they can challenge ASML's market dominance.
  • With ASML having fallen into a bear market at its September lows, I see a highly attractive buying opportunity on its shares at the current levels.

I last covered ASML Holding N.V. (ASML) in July, as the company was impacted by geopolitical headwinds, emanating from the Biden Administration's efforts to thwart the progress of China's AI efforts. Despite that, ASML delivered a solid Q2 earnings scorecard back then, underscoring solid recovery, despite macroeconomic and downstream industry headwinds.

However, investors were struck yesterday as initial details emerged about an arch-rival's approach to outmaneuver ASML's leadership in EUV photolithography systems. Accordingly, Canon (CAJPY) "has begun selling advanced machines (nanoimprint lithography) designed for creating leading-edge semiconductors." As such, Canon likely wants its customers and investors to know that it's ready to challenge ASML's leading competitive advantage in advanced semiconductor equipment.

However, before anyone rushes out to unload their ASML shares, it's important to note that we don't have sufficient information on the pricing of the system and its defect rates. As such, it's still too early to ascertain the impact on customers' total cost of ownership or TCO, which is critical to determining its competitive edge against ASML's dominance.

ASML isn't just the technology leader in EUV lithography systems used for advanced process nodes. It has a 100% market share as highlighted at its Investor Day in 2022. The company also expects to retain a 90% market share in the ArFi immersion system and a 65% market share in dry systems through 2030. Notably, the company's technologies are complementary for its customers, "benefiting from commonalities in R&D with [its] EUV program, while ensuring the platform's extendibility through System Node Enhancement Package upgrades."

As such, I'm not surprised that Canon wants to grab market share from ASML's complete market dominance in the advanced nodes which has helped protect its leadership in EUV and ArFi. However, Canon has primarily focused on " KrF and i-line models for semiconductor exposure machines." As such, it not only lacks scale in competing against ASML's EUV systems, but also lack customer traction to be deemed a serious threat for now.

Furthermore, Canon's semiconductor equipment sales revenue pales in comparison to ASML. Accordingly, Canon's semi equipment segment generated $2.5B in revenue last year. However, it's represents only a fraction (10.8%) of what ASML generated last year. The significant difference in scale should be clear that ASML's market leadership isn't expected to be threatened by Canon's forays.

Furthermore, ASML is a critical partner for foundries, given the costs of the EUV systems, at approximately $200M per unit. As such, for Canon to even contemplating challenging ASML's EUV leadership, it needs to demonstrate substantial scale, which is clearly not present at the moment.

In addition, ASML's EUV lithography systems are already in high-production, implemented in " select process steps at 7-nanometer nodes." As such, they provide a critical foundation for its chipmaker customers to continue their advancement in the 5nm, and 3nm process nodes, including the next move toward the 2nm process nodes.

As such, the criticality of EUV is significant, as it provides "such as a 3 to 6 times reduction in cycle time due to fewer steps." It also offers customers "a 15% to 50% cost reduction compared to multiple patterning schemes." Moreover, the company's ability to provide fast shipments has helped to shorten the cycle time for its customer, allowing them to get up to volume production faster.

In addition, the company boasts an industry-leading supply chain developed since its " early years, " growing its ecosystem to "around 5,000 partners and suppliers has turned into one of our company's most prized strengths." The company emphasized that its "long-term collaboration is based on trust." Given ASML's scale and proprietary technology, I believe it's highly challenging for ASML's rivals to even convince the supply chain that it could replace ASML's EUV market dominance.

Furthermore, ASML's EUV systems are one of the critical underpinnings of its wide-moat business model but aren't the only ones. The company is a leader in selling less advanced DUV systems used on more mature process nodes, such as industrial and automotive applications.

Moreover, keen investors should be aware that ASML's near-term revenue growth is primarily supported by the upward revision to the upgraded outlook for its DUV systems, as it lowered the estimates for EUV systems. ASML's leading customers for its advanced systems have faced capacity utilization and fab readiness issues, resulting in further delays for ASML's EUV systems in the near term.

As such, ASML has a much more diverse revenue base in DUV as compared to its EUV systems, helping the company to maintain its resilience. Analysts expect ASML to post revenue growth of 28.3% in FY23, bolstered by revenue recognition from fast shipments deferred from last year. However, the momentum in FY24 is expected to be tepid, with revenue estimates up just 6.7%. Despite that, management updated in an early September conference that it's expected to be a temporary slowdown as its leading-edge customers adjust their fab readiness in response to the cyclical and macroeconomic headwinds. As a result, it has maintained its confidence in meeting its 2022 Investor Day revenue estimates of between EUR30B and EUR40B for FY25.

Moreover, it's important to note that ASML's hardware leadership goes beyond just selling the EUV systems. It goes deep into installed base management, providing upgrades and systems management processes to improve the performance of delivered projects. Furthermore, ASML's proprietary software is also a highly defensible competitive moat that helps lock in its customers to its technology and systems, further fending off rivals keen on breaking apart its EUV monopoly.

Can you imagine asking TSMC (TSM), the world's leading advanced nodes foundry, to consider taking significant risks on its high CapEx investments into systems that have yet to gain traction or validation, particularly for the cutting-edge nodes that are said to have lower yields , as TSMC experienced with Apple (AAPL) previously? I would expect TSMC management to balk at such a proposition, given the high level of transition risks involved in the EUV systems that TSMC is very familiar with, working in a long-term partnership with ASML. Apple will also likely be concerned if TSMC were to make a quick transition to rival systems. Therefore, I assessed that if anything were to transpire, investors would have sufficient time to evaluate whether ASML could lose its competitive edge against Canon, of which details are still sparse for now, to make such a call.

Moreover, ASML has recently dropped into a bear market, falling nearly 27% through its September 2023 lows from its July 2023 highs. As such, investors have likely baked in a tepid earnings release and forward guidance from management at its upcoming third-quarter or FQ3 earnings release on October 18.

ASML Quant Grades (Seeking Alpha)

With a "D" premium valuation grade, yesterday's nearly 2.8% downtick likely reflects some risk-averse profit-taking as ASML bounced off its September lows.

However, other critical metrics currently support ASML, particularly its best-in-class "A+" profitability grade. Therefore, I urge investors to consider leveraging the recent scare to pick up ASML, given its recent bottoming process in September.

Rating: Maintain Buy.

Important note: Investors are reminded to do their due diligence and not rely on the information provided as financial advice. Please always apply independent thinking and note that the rating is not intended to time a specific entry/exit at the point of writing unless otherwise specified.

We Want To Hear From You

Have constructive commentary to improve our thesis? Spotted a critical gap in our view? Saw something important that we didn't? Agree or disagree? Comment below with the aim of helping everyone in the community to learn better!

For further details see:

ASML: Don't Be Spooked By The Recent Fears Hurting Its Market Dominance
Stock Information

Company Name: ASML Holding N.V.
Stock Symbol: ASML
Market: NASDAQ
Website: asml.com

Menu

ASML ASML Quote ASML Short ASML News ASML Articles ASML Message Board
Get ASML Alerts

News, Short Squeeze, Breakout and More Instantly...