Twitter

Link your Twitter Account to Market Wire News


When you linking your Twitter Account Market Wire News Trending Stocks news and your Portfolio Stocks News will automatically tweet from your Twitter account.


Be alerted of any news about your stocks and see what other stocks are trending.



home / news releases / AMAT - KLA Corporation: Benefiting From A Sub-4nm Semiconductor Ramp


AMAT - KLA Corporation: Benefiting From A Sub-4nm Semiconductor Ramp

2023-08-01 15:59:03 ET

Summary

  • KLA Corporation’s fiscal Q4 32.2% revenue growth from <5nm node ramps in 2022 topped the major equipment suppliers.
  • KLA dominates the metrology/inspection sector with a greater than 55% share.
  • KLA’s equipment plays a crucial role in yield enhancement with 3nm node chips priced at $17,385.

Coming off the theatrics of another Semicon West last month, and following Citi’s " 99 billion reasons to stay long " in semiconductor equipment stocks, KLA Corporation ( KLAC ) reported its fiscal 4Q 2023 earnings on July 27, 2023.

In this article, I address the reason why KLAC is my top semiconductor equipment company, as well as address the Citi contention that the equipment market will reach $99 billion in 2024.

KLAC Analysis

KLA Corp. ((KLAC)) shares rose more than 4% in pre-market trading on Friday after the semiconductor equipment maker reported strong fourth-quarter results on July 27, 2023.

For the period ending June 30, KLA earned an adjusted $5.40 per share on $2.36B in revenue, topping estimates of $4.86 per share in adjusted earnings and $2.35B in sales.

Looking ahead, KLA said it expects sales to be $2.35B, plus or minus $125M (above the $2.23B estimate), with non-GAAP gross margins 61%, plus or minus 100 basis points.

One of the key issues about KLAC is that it is not like any other of the other major equipment companies, and therefore any competitive analysis with them is pointless. Specifically, KLAC designs and sells “metrology and inspection” equipment. These are very different from the “processing” equipment from companies including Applied Materials ( AMAT ), Lam Research ( LRCX ), or Tokyo Electron ( OTCPK:TOELY ).

This is a significant difference. For KLA:

  • Inspection Systems: KLA's inspection systems utilize advanced imaging and sensing technologies to detect defects and anomalies in semiconductor wafers and devices. These systems employ various techniques, including optical inspection, e-beam inspection, and defect review microscopy. By identifying and classifying defects at different stages of production, KLA's inspection systems enable manufacturers to pinpoint sources of yield loss and implement corrective measures.
  • Metrology Solutions: KLA provides metrology solutions that enable precise and accurate measurements of critical parameters in semiconductor manufacturing processes. These solutions involve the use of advanced metrology techniques such as scatterometry, optical critical dimension ((OCD)), and X-ray metrology. Metrology data helps manufacturers understand variations in process parameters, optimize process control, and improve yield by ensuring dimensional accuracy and uniformity.
  • Data Analytics and Process Control: KLA's yield enhancement products are complemented by advanced analytics and process control software. These software solutions enable semiconductor manufacturers to leverage the vast amount of data generated during the production process. Through data analysis, pattern recognition, and machine learning algorithms, KLA's software helps identify yield-limiting factors, optimize process parameters, and enable predictive maintenance, ultimately enhancing yield and reducing defects.
  • Defect Review and Failure Analysis: KLA offers defect review and failure analysis systems that enable in-depth analysis of defects and failures in semiconductor devices. These systems utilize high-resolution imaging and advanced analytical techniques to identify and characterize defects at the microscopic level. By understanding the root causes of failures, manufacturers can improve process control, implement design optimizations, and enhance overall yield.

Chart 1 shows how KLAC dominates the “metrology and inspection” market, which are also referred to as “Process Control.”

KLAC's dominance in the process control sector extends to other competitors, as shown in Chart 1, according to our report entitled Metrology, Inspection, and Process Control in VLSI Manufacturing . Market share is a percentage of the overall global process control market.

Data show dominant market share for KLAC compared to Applied Materials (AMAT), ASML Holding ( ASML ), Hitachi High Tech, Nova Measuring ( NVMI ), and Camtek ( CAMT ). Between 2013 and 2022, no competitor garnered more than 15% in a given year.

The Information Network

Chart 1

For “Processing” Equipment Companies:

  • Applied Materials offers a comprehensive range of equipment and solutions that cover various critical steps in semiconductor manufacturing. Their portfolio includes systems for wafer processing, including deposition, etching, chemical mechanical planarization ((CMP)), and implantation. They also provide equipment for wafer inspection, metrology.
  • Lam Research is known for its etch and deposition equipment. They offer plasma etch systems that enable precise material removal and deposition systems provide uniform and controlled film deposition for various layers in semiconductor devices. Lam's equipment includes cleaning and surface preparation systems that ensure high-quality wafer surfaces before subsequent processing steps.
  • Tokyo Electron specializes in wafer processing equipment, offering solutions for deposition, etching, cleaning, and thermal processing. Their equipment covers a wide range of technologies, including plasma-enhanced chemical vapor deposition ((PECVD)), reactive ion etching ((RIE)), atomic layer deposition ((ALD)), and cleaning and surface preparation systems.

Growing Demand for KLA’s Technology

The central focus of KLAC’s technology and products, as detailed above, is that by monitoring by inspection and metrology equipment, the more than 700 steps over three months to process a semiconductor wafers and device.

Importantly, KLA's equipment plays a crucial role in yield enhancement for semiconductor manufacturing. Their inspection systems can detect and classify defects at various stages of production, allowing manufacturers to identify and address issues that could impact yield. KLA's advanced analytics and data management software help in analyzing the collected data to optimize process parameters and improve overall yield.

Why is Yield Enhancement Important?

Chart 2 shows my analysis of wafer ASPs (Average Selling Prices) by technology node. These ASPs increase with decreasing node. A 300mm wafer filled with 3nm node chips is priced at the foundry at $17,385, while a 28nm chip is priced at $5,670. The 3x price premium at 3nm means that any killer defects impacting the wafer yield will have a significantly greater financial impact at 3nm than 28nm.

Wafers are processed in equipment one wafer at a time. Statistical process control (“SPC”) is defined as the use of statistical techniques to control a process or production method. SPC tools and procedures monitor process behavior, discover issues in internal systems, and find solutions for production issues. The combination of SPC and KLAC systems would enable the semiconductor manufacturer to stop production before the financial damage gets too great.

Taiwan Semiconductor Manufacturing Company Limited (TSM) currently a yield rate of 55% at 3nm for its 3NB process (and 63% for its 3NE process), meaning that nearly half of the wafers produced at its 3nm process will be categorized as a bad cassette batch of 25 wafers, representing a loss of $239,000 per cassette. I expect the yield rate to increase to a more normal 70% in 2Q 2024.

Thus, as companies ramp up production, yield increases. But if there is a catastrophic process failure, such as a process gas flow or contamination, it generates defects that need to be ascertained in a timely fashion.

The Information Network

Chart 2

Semiconductor Equipment Market CY 2023

In my June 25, 2021, Seeking Alpha article entitled "Applied Materials: Tracking A Likely Semiconductor Equipment Meltdown In 2023," I presented my thesis for a sharp downturn in 2023.

I warned that excessive capex spend would give rise to an oversupply of semiconductors and a drop in equipment spending in 2023. The poor fiscal and monitory policy coming from the U.S. Fed has pulled in the downturn into 2022, particularly in the Memory sector. WFE spend for memory dropped from a +42.6% growth in 2021 to just 4.0% in 2022 and I forecast -45.1% in 2023, as shown in Table 1.

The Information Network

Chart 3 below shows YoY WFE semiconductor equipment revenue growth for 2022 based on a bottom-up analysis of all companies. This revenue growth is for semiconductor equipment only and does not include service, spare parts, or non-semiconductor business segments.

The mean value of the Top 6 Non-Chinese companies grew 9.4%, according to The Information Network's report entitled "Global Semiconductor Equipment: Markets, Market Shares and Market Forecasts . " KLAC performed the best of the top non-Chinese equipment companies with a 2022 YoY growth of 32.2%.

Chart 3 also shows revenue growth of the Top 4 Chinese equipment companies, which registered a mean YoY growth of 62.6%, attributed to the failed U.S. sanctions, prompting Chinese semiconductor companies to buy from local companies.

The Information Network

Chart 3

Investor Takeaway

With many semiconductor and equipment companies providing CY 2Q 2023 earnings and CY 2023 guidance, shares of these companies and peers have rallied. However, we are only halfway through 2023, and guidance that has been provided so far needs to be considered in light of two more earnings calls remaining for the year .

KLA’s CFO Bren Higgins reported in the company’s F4Q 2023 Earnings Call (emphasis added):

"Turning to our outlook, our WFE outlook for 2023 remains largely unchanged at down approximately 20% from $95 billion in 2022.

Our 2023 WFE estimate reflects a tops-down assessment of industry demand as follows; in memory, we expect WFE investments to decline by approximately 40%. Foundry/logic to decline by about 10% overall."

Macroeconomic factors will continue to weigh on the market, including semiconductor stocks and KLAC.

According to The Organization for Economic Co-operation and Development (“OECD”)

“Global economic developments have begun to improve, but the upturn remains fragile. Lower energy prices are helping to bring down headline inflation and ease the strains on household budgets, business and consumer sentiment are picking up from low levels, and the earlier-than-expected full reopening of China has provided a boost to global activity.

Global GDP growth is projected to moderate from 3.3% in 2022 to 2.7% in 2023, before edging up to a still subdued 2.9% in 2024.”

Why is this important? I show in Chart 4 my analysis of the relationship between GDP change, semiconductor equipment revenues and semiconductor revenues. Although amplitudes of peaks and valleys vary, close examination shows a strong relationship for concurrent inflection points.

The reason is straightforward. GDP is associated with financial growth, and when GDP is positive, people buy stuff using chips. When GDP is slowing or negative, consumers stop purchasing.

The Information Network

Chart 4

Also in the U.S., according The Conference Board :

“The Conference Board forecasts that weaknesses emerging in some parts of the economy will intensify and grow more diffuse over the coming months, leading to a recession. This outlook is associated with numerous factors, including, persistent inflation, Federal Reserve hawkishness, dampened bank lending amid the banking crisis, reduced government spending due to the debt ceiling deal, and the resumption of mandatory student loan repayments. We forecast that real GDP growth will slow to 1.3 percent in 2023, and then fall to 0.1 percent in 2024.

Apart from country-specific deviations, such as a possible rebound in US GDP in 2025, business would do well to prepare for a slowing global economic growth environment going forward. Relatively slow growth of about 2.5 percent for 2023-2024 for the global economy reflects the ongoing pivot to a more modest global GDP growth environment for the next decade, which is estimated at around 2.6 percent, down from an average annual pace of 3.3 percent in the decade leading up to the pandemic.”

This article provides an assessment of the industry during a period of unprecedented monetary, fiscal, and geopolitical elements following an unparalleled global pandemic.

I included macroeconomic data on forecasts for the U.S., but in Germany, the fourth largest economy in the world in terms of GDP, after the USA, China, and Japan, the ifo Business Climate Index fell to 87.3 points in July, down from 88.6 points1 in June. This is its third consecutive fall. In particular, companies were notably less satisfied with their current business. Expectations were also lower. The situation in the German economy is turning bleaker.

In China, according to the Associated Press : China’s economic growth missed forecasts in the second quarter of the year, adding to worries over surging youth unemployment and a weak property sector and raising the likelihood the government will double down on support for the faltering post COVID-19 recovery.

Despite the potential macroeconomic headwinds, which will affect semiconductor demand, as shown above in Chart 4, if I had to own on semiconductor equipment stock it would be KLAC. I rate the stock a Buy.

For further details see:

KLA Corporation: Benefiting From A Sub-4nm Semiconductor Ramp
Stock Information

Company Name: Applied Materials Inc.
Stock Symbol: AMAT
Market: NASDAQ
Website: appliedmaterials.com

Menu

AMAT AMAT Quote AMAT Short AMAT News AMAT Articles AMAT Message Board
Get AMAT Alerts

News, Short Squeeze, Breakout and More Instantly...