Twitter

Link your Twitter Account to Market Wire News


When you linking your Twitter Account Market Wire News Trending Stocks news and your Portfolio Stocks News will automatically tweet from your Twitter account.


Be alerted of any news about your stocks and see what other stocks are trending.



home / news releases / LRCX - Lam Research: Positioned Strongly (And Expensively) For AI Secular Trends


LRCX - Lam Research: Positioned Strongly (And Expensively) For AI Secular Trends

2024-01-16 20:37:24 ET

Summary

  • Semiconductors may want to go through a consolidation phase instead of experiencing a sudden surge to avoid a potential sector correction.
  • Many semiconductor companies linked to AI have reached record highs due to investors' belief in the growing market.
  • The total addressable market for semiconductors is expected to continue growing rapidly.
  • Lam's long-term trajectory is higher but will most likely offer opportunities to enter at discounts relative to today's levels.

Semiconductor Stocks would best be served with a resting period rather than a parabolic surge higher, which could cause a large sector corrective cycle

Many semiconductor companies associated with the AI trade have touched all-time highs as investors believe that its total addressable market and use case of this innovative technology continues to grow at a rapid clip.

As one of the largest wafer fabrication equipment makers, Lam (LRCX) is positioned extraordinarily well for the AI wave as it holds a dominant market share position in semiconductor plasma Etch, and its chip complexity operations mean that very few competitors besides Applied Materials ( AMAT ) can compete with LRCX at the same scale.

In Wafer Fab Equipment market share as of mid-2023, we can see that a small number of large players dominate the market, with Lam Research being a major vendor according to Yole Intelligence.

WFE Market Share (Yole Intelligence)

Over the long term due to Lam's participation in the AI secular trend, it's likely that the company will continue to grow sales steadily in the coming years. But its stock is trading at valuation multiples that now merit caution, so today's trading region north of 750+/share is a Hold for existing long-term investors where new additions are fraught with a heightened probability for near-term drawdowns.

LRCX Technical Structure (TradingView)

The Catalysts for LRCX and Fundamental Outlook

In order to remain in a leadership position in semicap equipment manufacturing, the largest players such as Lam need to dedicate significant resources to R&D and Capex. On an annual basis, Lam's $2B R&D budget allows it to continue advancing its memory technology, design specifications, and advanced Wafer edge. The company's participation in DRAM memory chips and transistors means that it produces the technology inputs that drive AI's industry growth. So in a sense, Lam is the "pick and shovel" within the semiconductor industry that allows the end market to eventually experience the fruits of AI.

The current capital spending environment for its core offerings of 7NM, 5NM, 3NM as well as 3D NAND and DRAM are in an expansion phase due to AI, which is prompting the Street to forecast EPS compounded annual growth rates in the mid-teens (~15-20%) for Lam. Consensus estimates for Lam is that gross margin moves higher towards 47-48% looking ahead into 2025, up from 44-45% from 2023 from a strengthened operating leverage business model as revenue ramps up faster than R&D spend.

The company's geographical revenue is distributed in the following sales model:

Geography Breakdown (Author Created)

  • China: 26%
  • Korea: 20%
  • Taiwan: 20%
  • Japan 10%
  • U.S.: 10%
  • Southeast Asia: 8%
  • Europe: 7%

Based on my research, Lam works with significant enterprise customers, some of which are large concentrated client accounts with the firm. Their most significant clients are Intel, Micron, Samsung, TSM, Yangtze Memory, and SK Hynix. This means that following the outlook of Lam's enterprise clients will indirectly provide clues to the capital spending environment that supports Lam's business model.

Over the past year in 2023 in comparison to 2022, the revenue mix at Lam has shifted more heavily towards Logic/Foundry clients and away from the memory markets (which is more cyclical). In 2022, memory clients such as Micron (among others) consisted of nearly ~60% of Lam's overall revenue. As the memory cyclical slowdown took place in 2022 and into 2023, memory client revenue now only attributes about ~42% of fiscal 2023 sales. To replace memory client revenue, Logic/Foundry clients now represent 58% of Lam's business.

This chart below from Lam's previous quarter shows the latest quarter's revenue mix where we can see Foundry/Logic (less cyclical) now being as prominent as Memory (more cyclical).

Lam Latest Quarter (Lam Research)

Going forward, this type of business mix may potentially make Lam's revenue trajectory slightly less cyclical as its exposure is more towards Foundry clients and away from memory clients. This partially explains why Wall Street has re-rated Lam's valuation higher with the confluence of its market positioning as a top "pick & shovel" business to benefit from AI trends together with its less cyclical business model after 2022's shakeout in memory inventory trends.

The semiconductor industry according to World Semiconductor Trade Statistics (WSTS) saw 4.9% year-over-year sales growth as of the latest quarter in September 2023. Major segments within the industry such as Analog, Flash, MPU, and MCU experienced better-than-expected seasonal trends which give the Sell Side increasing evidence that industry fundamentals have bottomed in 2023. The Street now sees a mid-single/low-teens growth profile being possible for industry revenues, with much of the recovery being targeted in the second half of 2024.

Semi Industry Growth (Markets and Markets)

Risks, Thoughts on Entry and Valuation

Lam Research does have a concentrated client base, and while switching costs are high and client networks are very sticky, the company's outlook also depends on its major enterprise clients' outlooks. If there is a prolonged period of weakness in the outlook for Intel, Micron, or Samsung, that will influence the capital spending environment where Lam participates as a supplier of semicap equipment.

At present, Lam's product offerings (as well as its close peer Applied Materials) have endured the U.S. export curbs to China as the company has been able to make up the demand in its other geographies. But given that China is still the largest market for Lam, further restrictions on a wider degree of product export curbs could weaken Lam's ability to source equipment to one of its larger China clients Yangtze Memory.

The current U.S. export curbs target the most advanced chipmaking technology from semiconductor firms into China but exclude products that are older generations of products (sometimes referred to as Lagging Edge equipment). For now, sales of lagging-edge equipment from Lam to China have been ironically quite strong as Chinese clients stockpile Lam (and Applied Material's) older-generation technology in case there is a wider set of restriction rules on exports. Areas in China that continue to have strong demand for Lam's products include Auto and Industrial. Management believes that export curbs' impact on Lam's business is manageable as demand is absorbed in its other product offerings.

With its strong fundamental backdrop, we can see that the market has priced Lam to the upper end of its historical valuation range below and slightly ahead of its peers Applied Materials and KLA ( KLAC ).

Data by YCharts

With the company being in a significant position to take advantage of the secular trend in AI, Lam is likely to compound revenues in the double-digit profile and experience strong free cash flow generation on long timeframes.

This equates to a higher share price from here if one has a long time horizon. Lam Research still trades at a discount relative to the Semicap Equipment sector at 25.8X Forward P/E (Lam) vs. 29X Forward P/E (Sector). Lam should be able to close that gap in the coming years, implying roughly 15-20% upside from here.

Industry Valuation (YCharts)

In the near term, we are entering a U.S. election cycle where rhetoric on China is likely to intensify. With the possibility that U.S. export curbs can be widened to include some of Lam's lagging-edge products to China, it is possible that China becomes a sales headwind for the firm this year. At the valuation range highs, the company may not yet be priced for further deterioration in U.S.-Sino relations.

The company's quarterly earnings are coming up on January 24th and there are some key line items that I'm looking for. I will be watching management commentary to determine if they believe Fiscal Year 2024's revenue forecast for 14.69B is the cyclical trough that Analysts have been looking for. More importantly, we'll want to see that revenue estimates for 2025-2026 are still confident in the range of 17.2B and 19.6B, respectively.

I'll also be watching to see if their operating results make EBITDA forecasts still a reliable guidepost for 2025-2026 at $5.6B and $6.6B, respectively. These are important operating figures as they signal that the semiconductor industry cyclical revenue downturn from 2023 has officially passed and we are returning to a resumption of growth in the coming years.

Revenue Outlook (YCharts)

EBITDA outlook (YCharts)

Analysts currently expect EPS of 6.96 and Revenue of $3.71B in this coming quarter. Given the stock's elevated technical structure, today's levels are a Hold as investors may want to wait for further management commentary on the outlook before adding positioning. Long-term, Lam likely continues to head higher but today's levels are a Hold.

For further details see:

Lam Research: Positioned Strongly (And Expensively) For AI Secular Trends
Stock Information

Company Name: Lam Research Corporation
Stock Symbol: LRCX
Market: NASDAQ
Website: lamresearch.com

Menu

LRCX LRCX Quote LRCX Short LRCX News LRCX Articles LRCX Message Board
Get LRCX Alerts

News, Short Squeeze, Breakout and More Instantly...